"Alerted.org

Job Title, Industry, Employer
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Advanced Search

Advanced Search

Cancel
Remove
+ Add search criteria
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Related to

  • Physical Design Engineer (Silicon Engineering)

    SpaceX (Bastrop, TX)



    Apply Now

    Physical Design Engineer (Silicon Engineering)

     

    Bastrop, TX

     

    Apply

     

    SpaceX was founded under the belief that a future where humanity is out exploring the stars is fundamentally more exciting than one where we are not. Today SpaceX is actively developing the technologies to make this possible, with the ultimate goal of enabling human life on Mars.

    SOC/ASIC PHYSICAL DESIGN ENGINEER (SILICON ENGINEERING)

    At SpaceX we’re leveraging our experience in building rockets and spacecraft to deploy Starlink, the world’s most advanced broadband internet system. Starlink is the world’s largest satellite constellation and is providing fast, reliable internet to millions of users worldwide. We design, build, test, and operate all parts of the system – thousands of satellites, consumer receivers that allow users to connect within minutes of unboxing, and the software that brings it all together. We’ve only begun to scratch the surface of Starlink’s potential global impact and are looking for best-in-class engineers to help maximize Starlink’s utility for communities and businesses around the globe.

     

    We are seeking a motivated, proactive, and intellectually curious engineer who will work alongside world-class cross-disciplinary teams (systems, firmware, architecture, design, validation, product engineering, ASIC implementation). In this role, you will be developing cutting-edge next-generation ASICs for deployment in space and ground infrastructures around the globe. These chips are enabling connectivity in places it has previously not been available, affordable or reliable. Your efforts will help deliver cutting-edge solutions that will expand the performance and capabilities of the Starlink network.

    RESPONSIBILITIES:

    + Perform partition synthesis and physical implementation steps (e.g. synthesis, floorplanning, power/ground grid generation, place and route, timing, noise, physical verification, electromigration, voltage drop, logic equivalency and other signoff checks)

    + Develop/improve physical design methodologies and automation scripts for various implementation steps

    + Closely collaborate with the ASIC design team to drive architectural feasibility studies, develop timing, power and area design targets, and explore RTL/design tradeoffs

    + Resolve design/timing/congestion and flow issues, identify potential solutions and drive execution

    + Run, debug, and fix signoff closure issues in static timing analysis (STA), noise, logic equivalency, physical verification, electromigration and voltage drop

    BASIC QUALIFICATIONS:

    + Bachelor’s degree in electrical engineering, computer engineering or computer science

    + 3+ years of professional experience working on RTL2GDSII physical design and/or physical design flow development

    PREFERRED SKILLS AND EXPERIENCE:

    + Experience with industry standard EDA tools including understanding of their capabilities and underlying algorithms

    + Knowledge of deep sub-micron FinFET and CMOS solid state physics

    + Understanding of CMOS digital design principles, basic standard cells their functionality, standard cell libraries

    + Understanding of CMOS power dissipation in deep submicron processes leakage/dynamic

    + Familiar with CMOS analog circuit and physical design

    + Basic knowledge of DFT/Scan/MBIST/LBIST and understanding of their impact on physical design flows

    + Good scripting skills (csh/bash, Perl, Python, TCL, Makefile etc.)

    + Self-driven individual with a can-do attitude, willing to learn, and an ability to work in a dynamic group environment

    ADDITIONAL REQUIREMENTS:

    + Must be willing to work extended hours and weekends as needed

    ITAR REQUIREMENTS:

    + To conform to U.S. Government export regulations, applicant must be a (i) U.S. citizen or national, (ii) U.S. lawful, permanent resident (aka green card holder), (iii) Refugee under 8 U.S.C. § 1157, or (iv) Asylee under 8 U.S.C. § 1158, or be eligible to obtain the required authorizations from the U.S. Department of State. Learn more about the ITARhere (https://www.pmddtc.state.gov/?id=ddtc\_kb\_article\_page&sys\_id=24d528fddbfc930044f9ff621f961987) .

     

    SpaceX is an Equal Opportunity Employer; employment with SpaceX is governed on the basis of merit, competence and qualifications and will not be influenced in any manner by race, color, religion, gender, national origin/ethnicity, veteran status, disability status, age, sexual orientation, gender identity, marital status, mental or physical disability or any other legally protected status.

     

    Applicants wishing to view a copy of SpaceX’s Affirmative Action Plan for veterans and individuals with disabilities, or applicants requiring reasonable accommodation to the application/interview process should reach out to [email protected].

     


    Apply Now



Recent Searches

  • securities derivatives senior analyst (United States)
[X] Clear History

Recent Jobs

  • Physical Design Engineer (Silicon Engineering)
    SpaceX (Bastrop, TX)
  • Senior Software Engineer / Contract / Hybrid / Multiple Locations
    Motion Recruitment Partners (CA)
[X] Clear History

Account Login

Cancel
 
Forgot your password?

Not a member? Sign up

Sign Up

Cancel
 

Already have an account? Log in
Forgot your password?

Forgot your password?

Cancel
 
Enter the email associated with your account.

Already have an account? Sign in
Not a member? Sign up

© 2025 Alerted.org