"Alerted.org

Job Title, Industry, Employer
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Advanced Search

Advanced Search

Cancel
Remove
+ Add search criteria
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Related to

  • Digital IC Design Engineer

    Texas Instruments (Santa Clara, CA)



    Apply Now

    Change the world. Love your job.

     

    We enable next generation of Audio-Video solutions in cars whether it is to enable self-driving in an ADAS system or rear-seat entertainment in an IVI system. You will be part of the team enabling high end experience in the future generation of cars.

     

    In this position, you will be working on Architecting and Designing high-speed mixed-signal SerDes circuits using state-of-the art process technology, as well as be involved in the design of high-performance digital circuits interfacing to leading edge analog circuitry as part of an overall system. You will be working on multiple Audio-Video protocols including DisplayPort, MIPI CSI over CPHY/DPHY enabling solutions that require handling multiple AV streams, video processing, stream encryption and compression.

     

    Your responsibilities will include defining architecture and micro-architecture, RTL coding, simulation, synthesis, timing closure, verification, evaluation, debugging of high-speed SerDes chips both at the circuit level and behavioral level.

     

    As a design engineer, you will prepare test methods and specifications, assist in preparation of application information, data sheets and demo boards. You will develop solutions to complex problems through assessment of various techniques and approaches. You will plan and organize work to ensure timely completion of many independent tasks with general instructions on routine tasks and with detailed instructions on new assignments.

     

    This position involves routine communication with a highly talented team of analog and digital design engineers to solve problems and present information as well as active participation in work groups, providing ideas and collaborative teamwork.

     

    Why TI?

     

    + Engineer your future. We empower our employees to truly own their career and development. Come collaborate with some of the smartest people in the world to shape the future of electronics.

    + We're different by design. Diverse backgrounds and perspectives are what push innovation forward and what make TI stronger. We value each and every voice, and look forward to hearing yours. Meet the people of TI (https://edbz.fa.us2.oraclecloud.com/hcmUI/CandidateExperience/en/sites/CX/pages/4012)

    + Benefits that benefit you. We offer competitive pay and benefits designed to help you and your family live your best life. Your well-being is important to us.

     

    About Texas Instruments

     

    Texas Instruments Incorporated (Nasdaq: TXN) is a global semiconductor company that designs, manufactures and sells analog and embedded processing chips for markets such as industrial, automotive, personal electronics, communications equipment and enterprise systems. At our core, we have a passion to create a better world by making electronics more affordable through semiconductors. This passion is alive today as each generation of innovation builds upon the last to make our technology more reliable, more affordable and lower power, making it possible for semiconductors to go into electronics everywhere. Learn more at TI.com .

     

    Texas Instruments is an equal opportunity employer and supports a diverse, inclusive work environment. All qualified applicants will receive consideration for employment without regard to race, color, religion, creed, disability, genetic information, national origin, gender, gender identity and expression, age, sexual orientation, marital status, veteran status, or any other characteristic protected by federal, state, or local laws.

     

    If you are interested in this position, please apply to this requisition.

    Minimum requirements:

    + BSEE with 12 years or MSEE with 10 years of digital/mixed signal ASIC architecture/design experience

    + Successfully taped out multiple devices

    + A thorough understanding of digital logic design

    + Strong understanding of ASIC design flow from RTL to GDSII.

    + Familiarity with the Verilog language and simulators

    + A good understanding of analog functionality and exposure to analog IC design methods

    + Ability to solve problems using a systematic approach

    Preferred qualifications:

    + RTL coding and synchronous/asynchronous digital skills

    + Experience with SystemVerilog

    + Knowledge of simulation tools like Xcelium, code coverage and debug tools

    + Knowledge of PLL, SerDes, Encoders, Decoders, Video Compression, MIPI Standards, Video Encryption, DisplayPort, I2C and SPI

    + Synthesis, STA, Lint checkers, Clock domain crossing (CDC) checkers, Logic equivalence checking.

    + Scripting skills in Perl, Tcl, Python etc.

    + Demonstrated strong analytical and problem-solving skills

    + Strong verbal and written communication skills

    + Ability to work in teams and collaborate effectively with people in different functions

    + Strong time management skills that enable on-time project delivery

    + Ability to work effectively in a fast-paced and rapidly changing environment

    + Ability to take the initiative and drive for results

    + Demonstrated ability to build strong, influential relationships

    + Good listener and excellent verbal and written communication skills

    Additional Preferred Experience/Skills

    + Familiarity with low power design techniques

    + Knowledge of DisplayPort including HDCP and DSC, MIPI CPHY/DPHY

    + Knowledge of UVM, SystemVerilog, constrained random and assertion-based verification methodologies

    + Knowledge or experience of FPGA prototyping and Palladium

    + Knowledge of MATLAB

    + Knowledge or experience with physical design flow

    + Strong time management skills that enable on-time project delivery

    **Base Range Info:** Base pay is one part of our total compensation package and is determined within a range. This provides the opportunity to progress as you grow and develop within a role. Your base pay will depend on your skills, qualifications, experience, and location. The base pay range for this role is shown below.

    **Base Range:** $172,000 - $268,500 per year

    **ECL/GTC Required:** Yes

     


    Apply Now



Recent Searches

  • Senior Director Colocation Infrastructure (Guam)
  • QA Lead Enterprise Data (Idaho)
[X] Clear History

Recent Jobs

  • Digital IC Design Engineer
    Texas Instruments (Santa Clara, CA)
  • Power Systems Engineer (EMS)
    MISO Energy (Carmel, IN)
  • Technician Production I
    Crane Aerospace & Electronics (Lynnwood, WA)
  • Head of Business Strategy and Operations, AI/ML CoE (US)
    TD Bank (New York, NY)
[X] Clear History

Account Login

Cancel
 
Forgot your password?

Not a member? Sign up

Sign Up

Cancel
 

Already have an account? Log in
Forgot your password?

Forgot your password?

Cancel
 
Enter the email associated with your account.

Already have an account? Sign in
Not a member? Sign up

© 2025 Alerted.org