"Alerted.org

Job Title, Industry, Employer
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Advanced Search

Advanced Search

Cancel
Remove
+ Add search criteria
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Related to

  • Technical Program Manager III, Foundry Commodity…

    Google (Austin, TX)



    Apply Now

    Technical Program Manager III, Foundry Commodity Management, Cloud Supply Chain

     

    _corporate_fare_ Google _place_ Austin, TX, USA

     

    Mid

     

    Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area.

    Minimum qualifications:

    + Bachelor's degree in a technical field or equivalent practical experience.

    + 5 years of experience in program management.

    + Experience in ASIC/chip design with leadership and technical ownership.

    + Experience with industry-standard EDA tools for RTL simulation, synthesis, and static timing analysis.

    Preferred qualifications:

    + 5 years of experience managing cross-functional or cross-team projects.

    + Experience with design for testability (DFT) concepts and methodologies (e.g., scan, JTAG, MBIST, etc.).

    + Experience with embedded processors (e.g., ARM, RISC-V, etc.).

    + Experience with UVM/OVM or other advanced verification methodologies.

    + Experience with scripting languages (e.g., Python, Perl, Tcl, etc.) for automation of design flows.

    + Knowledge of physical design concepts (e.g., floor planning, place and route, clock tree synthesis, etc.).

    About the job

    A problem isn’t truly solved until it’s solved for all. That’s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you’ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You’ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers.

     

    As the Technical Program Manager, you will be responsible for managing external Foundry relationships and collaborating with key suppliers and partners. In this role, you will be instrumental in the design, verification, and implementation of digital integrated circuits from concept to production with a focus on ensuring seamless integration and positive outcomes with external technology providers. You will work on technologies that are critical to next-generation products, contributing to the full lifecycle of ASIC development while managing external technical engagements.

     

    The AI and Infrastructure team is redefining what’s possible. We empower Google customers with breakthrough capabilities and insights by delivering AI and Infrastructure at unparalleled scale, efficiency, reliability and velocity. Our customers include Googlers, Google Cloud customers, and billions of Google users worldwide.

     

    We're the driving force behind Google's groundbreaking innovations, empowering the development of our cutting-edge AI models, delivering unparalleled computing power to global services, and providing the essential platforms that enable developers to build the future. From software to hardware our teams are shaping the future of world-leading hyperscale computing, with key teams working on the development of our TPUs, Vertex AI for Google Cloud, Google Global Networking, Data Center operations, systems research, and much more.

     

    The US base salary range for this full-time position is $156,000-$229,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process.

     

    Please note that the compensation details listed in US role postings reflect the base salary only, and do not include bonus, equity, or benefits. Learn more aboutbenefits at Google (https://careers.google.com/benefits/) .

    Responsibilities

    + Collaborate with system architects and cross-functional teams to define chip-level and block-level specifications, micro-architectures, and design partitioning.

    + Participate in pre-silicon verification activities, including testbench development, simulation, and debugging, ensuring functional correctness and performance.

    + Perform logic synthesis, static timing analysis (STA), and work with physical design teams to achieve timing closure, power optimization, and area goals.

    + Incorporate Design-for-Test (DFT) features such as scan chains, JTAG, and MBIST to enable efficient test and debug of the silicon.

    + Collaborate with post-silicon validation teams to bring up, debug, and characterize new silicon, identifying and resolving any issues.

     

    Information collected and processed as part of your Google Careers profile, and any job applications you choose to submit is subject to Google'sApplicant and Candidate Privacy Policy (./privacy-policy) .

     

    Google is proud to be an equal opportunity and affirmative action employer. We are committed to building a workforce that is representative of the users we serve, creating a culture of belonging, and providing an equal employment opportunity regardless of race, creed, color, religion, gender, sexual orientation, gender identity/expression, national origin, disability, age, genetic information, veteran status, marital status, pregnancy or related condition (including breastfeeding), expecting or parents-to-be, criminal histories consistent with legal requirements, or any other basis protected by law. See alsoGoogle's EEO Policy (https://www.google.com/about/careers/applications/eeo/) ,Know your rights: workplace discrimination is illegal (https://careers.google.com/jobs/dist/legal/EEOC\_KnowYourRights\_10\_20.pdf) ,Belonging at Google (https://about.google/belonging/) , andHow we hire (https://careers.google.com/how-we-hire/) .

     

    If you have a need that requires accommodation, please let us know by completing ourAccommodations for Applicants form (https://goo.gl/forms/aBt6Pu71i1kzpLHe2) .

     

    Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting.

     

    To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes.

     

    Google is proud to be an equal opportunity workplace and is an affirmative action employer. We are committed to equal employment opportunity regardless of race, color, ancestry, religion, sex, national origin, sexual orientation, age, citizenship, marital status, disability, gender identity or Veteran status. We also consider qualified applicants regardless of criminal histories, consistent with legal requirements. See also https://careers.google.com/eeo/ and https://careers.google.com/jobs/dist/legal/OFCCP_EEO_Post.pdf If you have a need that requires accommodation, please let us know by completing our Accommodations for Applicants form: https://goo.gl/forms/aBt6Pu71i1kzpLHe2.

     


    Apply Now



Recent Searches

  • Fusion SaaS SCM Functional (Tennessee)
[X] Clear History

Recent Jobs

  • Technical Program Manager III, Foundry Commodity Management, Cloud Supply Chain
    Google (Austin, TX)
  • Product Designer
    Social Solutions Global (Austin, TX)
[X] Clear History

Account Login

Cancel
 
Forgot your password?

Not a member? Sign up

Sign Up

Cancel
 

Already have an account? Log in
Forgot your password?

Forgot your password?

Cancel
 
Enter the email associated with your account.

Already have an account? Sign in
Not a member? Sign up

© 2025 Alerted.org