"Alerted.org

Job Title, Industry, Employer
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Advanced Search

Advanced Search

Cancel
Remove
+ Add search criteria
City & State or Zip Code
20 mi
  • 0 mi
  • 5 mi
  • 10 mi
  • 20 mi
  • 50 mi
  • 100 mi
Related to

  • ASIC Engineer Physical Design

    Meta (Austin, TX)



    Apply Now

    Summary:

    Join Meta's Infrastructure organization to leverage your expertise in ASIC Physical Design, driving high-performance, AI/ML SoC and IP development from Netlist to GDSII, directly impacting the efficiency and innovation of our data center applications.

    Required Skills:

    ASIC Engineer Physical Design Responsibilities:

    1. Develop and own physical design implementation of multi-hierarchy low-power and high-performance designs, including physical-aware logic synthesis, floorplan, place and route, clock tree synthesis, static timing analysis, IR drop, EM, and physical verification in advanced technology nodes

    2. Resolve design and flow issues related to the physical design, identify potential solutions, and drive execution

    3. Deliver physical design of an end-to-end IP or integration of ASIC/SoC design and point out lower power and higher performance trade-offs

    4. Define and implement schemes, including semi-custom placement and routing, to improve performance and power

    5. Work with the RTL design team to understand partition architecture and drive physical aspects early in the design cycle

    6. Interface with the RTL design team to drive design modifications to resolve congestion/timing issues and implement functional ECO’s

    7. Use EDA tool-based programming and scripting techniques to automate and improve throughput and quality

    8. Interact with tool vendors to drive tool fixes and flow improvements. Perform tool evaluations of new vendor tools and functions

    Minimum Qualifications:

    Minimum Qualifications:

    9. Bachelor's degree in Computer Science, Computer Engineering, relevant technical field, or equivalent practical experience

    10. 8+ years of experience in physical design and timing closure

    11. Knowledge of RTL2GDSII flow and design tape-outs in 5nm or below process technologies

    12. Experience with EDA tools like DC/Genus, Innovus/ICC2, Primetime, Redhawk/Voltus, or Calibre

    13. Hands-on experience in SoC floor planning, place & route, power and clock distribution, and timing convergence of high-frequency designs

    14. Knowledge of geometry/process/device technology implications on physical design

    15. Experience with large SOC designs (>100M gates) with frequencies over 1GHZ

    16. Experience collaborating effectively with both internal and external teams across various functions and geographic locations

    17. Programming/scripting skills: TCL, Python, Perl or Shell

    Preferred Qualifications:

    Preferred Qualifications:

    18. Experience in full chip floor planning, partitioning, budgeting, and power grid planning

    19. Experience with low power implementation, power gating, multiple voltage rails, UPF/CPF knowledge

    20. Experience in planning, implementing, and analyzing high-speed clock distribution networks. Experience with alternate strategies for clock distribution, including standard trees, mesh, H-Tree, and clock power reduction techniques

    21. Knowledge of static timing analysis and concepts, defining timing constraints and exceptions, corners/voltage definitions

    22. Knowledge of Circuit design, device physics, and demonstrated experience in sub-micron technology

    23. Experience in the physical design of data-path intensive designs

    24. Experience in the 3D-IC technology, methodology, and advanced packaging

    25. Experience in validating Power Distribution Network (PDN), IR/EM, Thermals for 3D-IC

    Public Compensation:

    $173,000/year to $249,000/year + bonus + equity + benefits

    **Industry:** Internet

    Equal Opportunity:

    Meta is proud to be an Equal Employment Opportunity and Affirmative Action employer. We do not discriminate based upon race, religion, color, national origin, sex (including pregnancy, childbirth, or related medical conditions), sexual orientation, gender, gender identity, gender expression, transgender status, sexual stereotypes, age, status as a protected veteran, status as an individual with a disability, or other applicable legally protected characteristics. We also consider qualified applicants with criminal histories, consistent with applicable federal, state and local law. Meta participates in the E-Verify program in certain locations, as required by law. Please note that Meta may leverage artificial intelligence and machine learning technologies in connection with applications for employment.

     

    Meta is committed to providing reasonable accommodations for candidates with disabilities in our recruiting process. If you need any assistance or accommodations due to a disability, please let us know at [email protected].

     


    Apply Now



Recent Searches

  • Biology Bachelor Degree (United States)
  • Associate Assistant Professor Chemistry (Little Falls, NJ)
  • Organizational Effectiveness Specialist (United States)
  • Warehouse Manufacturing Positions (United States)
[X] Clear History

Recent Jobs

  • ASIC Engineer Physical Design
    Meta (Austin, TX)
[X] Clear History

Account Login

Cancel
 
Forgot your password?

Not a member? Sign up

Sign Up

Cancel
 

Already have an account? Log in
Forgot your password?

Forgot your password?

Cancel
 
Enter the email associated with your account.

Already have an account? Sign in
Not a member? Sign up

© 2025 Alerted.org